Skip to main content

Posts

Showing posts from April, 2018

Vivado崩溃与文件路径和计算机名称

先声明软件版本与操作系统 Vivado 2014.4 Windows 10 家庭版 做数字逻辑实验要用 Vivado ,按照老师给的教程安装了 Vivado 2014.4 。然后就开始按照实验指导去做实验了。 做到需要进行 RTL Analysis 的时候,点击 Schematic , Vivado 就直接崩溃了,没有弹出任何的错误提示。 于是我就去搜索,看有没有人遇到了类似情况,是否有解决办法。搜索到的全都是说文件路径里含有中文或特殊字符,但是我的路径全都是规范的,只包含英文、数字、下划线。我从头开始做了一遍,不行。卸载后重装 Vivado ,重做实验,还是不行。完全不知道该怎么解决。 后来做另一个实验的时候,要用到 Synthesis 功能,在 Run Synthesis 之后,就会 Fail ,在最底下的 Log 里的最后几行能看到 TclStackFree: incorrect freePtr. Call out of sequence? 我又去 Google 了一下,然后就浏览到了这个链接。 https://forums.xilinx.com/t5/Synthesis/TclStackFree-incorrect-freePtr-Call-out-of-sequence-in-2016-4/m-p/742698 看到里面有人说,把计算机的名字改了之后就能正常使用了。我就去看了一下我的计算机名,是 myName’sPC 然后我就尝试着去掉那个单引号改成 myNamesPC 重启计算机之后再去使用 Vivado ,发现已经能够正常使用了!!! 原来我遇到的问题的根源就出在这里!计算机名包含了这个单引号! 所以,以后在命名这些关键内容的时候,无论是设备名还是路径名,都尽量只包含英文、数字、下划线,其他的符号很容易带来问题。